www.design-reuse-china.com
搜索,选择,比较,与提供商进行安全高效的联系
Design & Reuse We Chat
D&R中国官方微信公众号,
关注获取最新IP SOC业界资讯

Altera Accelerates High-capacity FPGA Design with Quartus Prime Pro Design Software

San Jose, Calif., , May. 09, 2016 – Altera, now part of Intel, today announced the production release of the new Quartus Prime Pro design software, which further accelerates FPGA design performance and design team productivity. The Quartus Prime Pro software is architected to support the next generation of high capacity, highly integrated FPGAs from Intel, which will drive innovation across the cloud, data center, Internet of Things, and the networks that connect them. The capabilities built into the latest software release accelerate large FPGA design flows by delivering unprecedented compile time improvements, versatile design entry methods and simplified intellectual property (IP) integration.

"Quartus Prime Pro design software builds upon decades of software innovations that help to shorten FPGA design cycles and make our tools easier to use," said Bernhard Friebe, director of FPGA software and IP marketing at Intel. "The software tools, IP cores and design entry methods that we offer will broaden and strengthen Intel's FPGA user base, while significantly increasing designer productivity and enhancing the overall customer experience."

The Quartus Prime Pro software v16.0 delivers a design methodology that is optimized for large designs with more than one million logic elements. At the same time, users can leverage the software's incremental optimization feature to reduce design iterations and accelerate timing closure.

Quartus Prime Pro Software v16.0 highlights:

Additional information about the features in the Quartus Prime Pro design software version 16.0 can be found at altera.com/whatsnew.

Access to Altera's Expanding IP Portfolio

The Quartus Prime design software provides users access to an expanding selection of IP cores. These IP cores, in combination with numerous IP usability enhancements, help to improve designer productivity by simplifying IP evaluation, IP selection and hardware verification. New Ethernet, Hybrid Memory Cube memory and Video IP cores extend the comprehensive suite of high-performance, low-latency IP cores for industry-standard protocols. More information about Altera's IP solutions can be found at the "what's new in IP" web page.

Availability and Pricing

The production release of the Quartus Prime design software v16.0 is now available for download at Altera's eStore. The software is available in three editions (Pro, Standard and Lite), depending on your target FPGA. The Quartus Prime Pro and Quartus Prime Standard editions come with the ModelSim-Altera Starter edition software and a full license to the IP Base Suite. The annual software license is $3,995 for a node-locked PC license for the Quartus Prime Pro Edition and is available for purchase. The Quartus Prime Lite edition is available as a free download at Altera's eStore.

 Back

业务合作

广告发布

访问我们的广告选项

添加产品

供应商免费录入产品信息

© 2023 Design And Reuse

版权所有

本网站的任何部分未经Design&Reuse许可,
不得复制,重发, 转载或以其他方式使用。