www.design-reuse-china.com
搜索,选择,比较,与提供商进行安全高效的联系
Design & Reuse We Chat
D&R中国官方微信公众号,
关注获取最新IP SOC业界资讯

'Correct by construction' tool for chip power layout down to 2nm

Siemens Digital Industries Software has developed a chip power design tool that can boost the design and reliability with a 'Correct by construction' approach down to 2nm.

www.eenewspower.com/, Jul. 11, 2023 – 

Calibre Design Enhancer automatically implements design layout modifications for power routing, IR voltage drop and electromigration much earlier in the IC design and verification process on designs from 130nm down to 2nm.

Before conducting physical verification on an IC design, engineers have traditionally relied on third-party P&R tools to incorporate design for manufacturing (DFM) optimizations, often requiring multiple time-consuming runs before converging on a clean version for the design rule checks. The new tool aims to shorten this DRC-clean process and reduce EM/IR issues while preparing a layout for physical verification.

The Calibre DesignEnhancer tool currently provides three use models:

Via modification automatically analyzes layouts and inserts up to 1 million+ Calibre-clean correct-by-construction vias to reduce the impact of via resistance on EM/IR and reliability. Because these modifications are based on a thorough understanding of the layout and signoff design rules, via insertion can help customers meet their power goals without impacting performance or area metrics.

Power/ground enhancement automatically analyzes layouts and inserts Calibre nmDRC-clean vias and interconnects in open tracks to create parallel runs that can lower resistance on power/ground structures and reduce IR and EM issues associated with the power grid. Customers using the Calibre DesignEnhancer tool have achieved up to 90 percent reductions in IR drop issues.

Filler cell insertion optimizes the insertion of decoupling capacitor (DCAP) and filler cells required for physical verification readiness. It replaces traditional P&R filler cell insertion processes, which helps to provide better quality of results and up to 10X faster runtimes.

"The Calibre DesignEnhancer solution proved instrumental in our ongoing efforts to 'shift left' our IC design processes, for example, in addressing and resolving out of specification resistance and IR drop issues," said Pier Luigi Rolandi, Senior Director for R&D at STMicroelectronics.

"In today's challenging IC design environment, engineering teams working at advanced nodes are struggling to optimize layouts for manufacturability and performance within the given area and project timeline constraints in which they must work," said Michael White, Senior Director, Physical Verification Product Management, Calibre Design Solutions, Siemens Digital Industries Software. "By using the Calibre DesignEnhancer software, designers can bring Calibre polygonal processing speed and accuracy into play earlier in the design cycle, which can help to avoid late design cycle surprises."

click here to read more...

 Back

业务合作

广告发布

访问我们的广告选项

添加产品

供应商免费录入产品信息

© 2023 Design And Reuse

版权所有

本网站的任何部分未经Design&Reuse许可,
不得复制,重发, 转载或以其他方式使用。