www.design-reuse-china.com
   Racyics is an experienced System-on-Chip design service provider with focus on advanced semiconductor nodes. We deliver professional analog, digital and mixed-signal design services tailored to the customers’ needs with focus on realization of complex System-on-Chips in leading edge technology nodes. Racyics is working for major German and international semi-custom companies both as a service provider and in collaborative partnerships. Our complete ASIC design flow is based on best in class EDA Tools delivers outstanding solutions for our customers.   
3 SoCs

1
ABX Automotive Adaptive Body Biasing Generator - GLOBALFOUNDRIES 22FDX
RI_ABB_GF22FDX_AM is an adaptive body bias voltage generator for automotive applications in Globalfoundries 22FDX® technology. It contains a closed loop body bias regulation loop to generate N-wel...

2
Adaptive Body Biasing Platform (ABX Platform)
Body biasing is a disruptive 22FDX® feature enabling post silicon adaption of transistor threshold voltages. The Racyics ABX Platform uses Adaptive Body Biasing (ABB) technology to provide reliabl...

3
Adaptive Body Biasing Platform - GLOBALFOUNDRIES 22FDX
Body biasing is a disruptive 22FDX® feature enabling onthe-fly adaption of transistor threshold voltages. Racyics® Adaptive Body Biasing (ABX) technology provides reliable and predictable ultra-low vo...

 Back

业务合作

广告发布

访问我们的广告选项

添加产品

供应商免费录入产品信息

© 2023 Design And Reuse

版权所有

本网站的任何部分未经Design&Reuse许可,
不得复制,重发, 转载或以其他方式使用。